Publications

Publications of the Priority Program Nano Security

Publications

  1. 2023

    1. 78. Discerning the Limitations of GNN-Based Attacks on Logic Locking. Armin Darjani; Nima Kavand; Shubham Rai and Akash Kumar. In Proceedings of the 60th ACM/IEEE Design Automation Conference (DAC), 2023.
    2. 77. LAT-UP: Exposing Layout-Level Analog Hardware Trojans Using Contactless Optical Probing. Sajjad Parvin; Mehran Goli; Thilo Krachenfels; Shahin Tajik; Jean-Pierre Seifert; Frank Sill Torres and Rolf Drechsler. In Proceedings of the IEEE Computer Society Annual  Symposium on VLSI (ISVLSI 2023), 2023.
    3. 76. DMA’n’Play: Practical Remote Attestation Based on Direct Memory Access. Sebastian Surminski; Christian Niesler; Lucas Davi and Ahmad-Reza Sadeghi. In 21st International Conference on Applied Cryptography and Network Security (ACNS), Kyoto, Japan, 2023.
    4. 75. Formal Analysis of Camouflaged Reconfigurable Circuits. Steffen Marcker; Michael Raitza; Shubham Rai; Giulio Galderisi; Thomas Mikolajick; Jens Trommer and Akash Kumar. In IEEE NEWCAS, 2023.
    5. 74. Design of Energy-efficient RFET-based Exact and Approximate 4:2 Compressors and Multipliers. Nima Kavand; Armin Darjani; Shubham Rai and Akash Kumar. IEEE Transactions on Circuits and Systems II: Express Briefs (May 2023), pp. 1–1. DOI: https://doi.org/10.1109/TCSII.2023.3275983
    6. 73. Reconfigurable FET Approximate Computing-Based Accelerator for Deep Learning Applications. Raghul Saravanan; Sathwika Bavikadi; Shubham Rai; Akash Kumar and Sai Manoj Pudukotai Dinakarrao. In IEEE International Symposium on Circuits and Systems (ISCAS), 2023.
    7. 72. SCAtt-man: Side-Channel-Based Remote Attestation for Embedded Devices that Users Understand. Sebastian Surminski; Christian Niesler; Sebastian Linsner; Lucas Davi and Christian Reuter. In ACM Conference on Data and Application Security and Privacy, Charlotte, NC, United States, 2023.
    8. 71. Utilizing XMG-Based Synthesis to Preserve Self-Duality for RFET-Based Circuits. Shubham Rai; Alessandro Tempia Calvino; Heinz Riener; Giovanni De Micheli and Akash Kumar. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 42, 3 (March 2023), pp. 914--927. DOI: https://doi.org/10.1109/tcad.2022.3184633
    9. 70. Hardware Security Primitives using Passive RRAM Crossbar Array: Novel TRNG and PUF Designs. Simranjeet Singh; Furqan Zahoor; Gokulnath Rajendran; Sachin Patkar; Anupam Chattopadhyay and Farhad Merchant. In To appear in Proceedings of 28th Asia and South Pacific Design Automation Conference ASP-DAC 2023, 2023.
    10. 69. LP-MAB: Improving the Energy Efficiency of LoRaWAN Using a Reinforcement-Learning-Based Adaptive Configuration Algorithm. Benyamin Teymuri; Reza Serati; Nikolaos Athanasios Anagnostopoulos and Mehdi Rasti. Sensors 23, 4 (2023). DOI: https://doi.org/10.3390/s23042363
    11. 68. FELOPi: A Framework for Simulation and Evaluation of Post-Layout  File Against Optical Probing. Sajjad Parvin; Mehran Goli; Frank Sill Torres and Rolf Drechsler. In Proceedings of the 26th Design, Automation and Test in Europe Conference (DATE 2023), Antwerp, Belgium, 2023.
    12. 67. Structured Design and Evaluation of a Resistor-Based PUF Robust Against PVT-Variations. Carl Riehm; Christoph Frisch; Florin Burcea; Matthias Hiller; Michael Pehl and Ralf Brederlow. In 2023 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), 2023, pp. 93–98. DOI: https://doi.org/10.1109/DDECS57882.2023.10139352
    13. 66. Abusing Commodity DRAMs in IoT Devices to Remotely Spy on Temperature. Florian Frank; Wenjie Xiong; Nikolaos Athanasios Anagnostopoulos; André Schaller; Tolga Arul; Farinaz Koushanfar; Stefan Katzenbeisser; Ulrich Rührmair and Jakub Szefer. IEEE Transactions on Information Forensics and Security 18, (2023), pp. 2991–3005. DOI: https://doi.org/10.1109/TIFS.2023.3271252
    14. 65. Trojan awakener: detecting dormant malicious hardware using  laser logic state imaging (extended version). Thilo Krachenfels; Jean-Pierre Seifert and Shahin Tajik. (2023). DOI: https://doi.org/10.1007/s13389-023-00323-3
    15. 64. Cipherfix: Mitigating Ciphertext Side-Channel Attacks in Software. Jan Wichelmann; Anna Pätschke; Luca Wilke and Thomas Eisenbarth. In 32nd USENIX Security Symposium, USENIX Security 2023, 2023.
    16. 63. Lo-RISK: Design of a Low Optical Leakage and High Performance RISC-V Core. Sajjad Parvin; S. Ahmadi-Pour; C.K. Jha; Frank Sill Torres and Rolf Drechsler. In Proceedings of the Workshop on State-of-the-Art  Nanoelectronics in the Framework of Security and Artificial Intelligence  - NanoSecAI 2023, 2023.
    17. 62. Trojan-D2: Post-Layout Design and Detection of Stealthy Hardware Trojans - A RISC-V Case Study. Sajjad Parvin; Mehran Goli; Frank Sill Torres and Rolf Drechsler. In Proceedings of the 28th Asia and South Pacific Design Automation Conference, Tokyo, Japan, 2023, pp. 683–689. DOI: https://doi.org/10.1145/3566097.3567919
    18. 61. A Detailed Investigation of Selectively  Increasing Local Density to Camouflage and Robustify Against Optical Probing Attacks. Sajjad Parvin; S. Ahmadi-Pour; C.K. Jha; Frank Sill Torres and Rolf Drechsler. In Proceedings of the 2023 IEEE International Test Conference India (ITC  India), 2023.
    19. 60. On Side-Channel Analysis of Memristive Cryptographic Circuits. Li-Wei Chen; Ziang Chen; Werner Schindler; Xianyue Zhao; Heidemarie Schmidt; Nan Du and Ilia Polian. IEEE Transactions on Information Forensics and Security 18, (2023), pp. 463–476. DOI: https://doi.org/10.1109/TIFS.2022.3223232
  2. 2022

    1. 59. Physics inspired compact modelling of \$\$\backslashhbox \BiFeO\\_3\$\$based memristors. Sahitya Yarragolla; Nan Du; Torben Hemke; Xianyue Zhao; Ziang Chen; Ilia Polian and Thomas Mussenbrock. Scientific Reports 12, 1 (November 2022), pp. 20490. DOI: https://doi.org/10.1038/s41598-022-24439-4
    2. 58. PA-PUF: A Novel Priority Arbiter PUF. Simranjeet Singh; Srinivasu Bodapati; Sachin Patkar; Rainer Leupers; Anupam Chattopadhyay and Farhad Merchant. In 2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration (VLSI-SoC), 2022, pp. 1–6. DOI: https://doi.org/10.1109/VLSI-SoC54400.2022.9939642
    3. 57. Real-World Chaos-Based Cryptography Using Synchronised Chua Chaotic Circuits. Emiliia Nazarenko; Nikolaos Athanasios Anagnostopoulos; Stavros G. Stavrinides; Nico Mexis; Florian Frank; Tolga Arul and Stefan Katzenbeisser. (September 2022). DOI: https://doi.org/10.36227/techrxiv.21030466.v1
    4. 56. A Temperature Independent Readout Circuit for ISFET-Based Sensor Applications. Elmira Moussavi; Dominik Sisejkovic; Animesh Singh; Daniyar Kizatov; Rainer Leupers; Sven Ingebrandt; Vivek Pachauri and Farhad Merchant. In 2022 IEEE 23rd Latin American Test Symposium (LATS), 2022, pp. 1–4. DOI: https://doi.org/10.1109/LATS57337.2022.9937020
    5. 55. DELTA: DEsigning a steaLthy trigger mechanism for analog hardware Trojans and its detection Analysis,. Nishant Gupta; Mohil Desai; Mark Wijtvliet; Shubham Rai and Akash Kumar. In 2022 59th ACM/IEEE Design Automation Conference (DAC) (to appear), 2022, pp. 1–6.
    6. 54. On the Sustainability of Lightweight Cryptography Based on PUFs Implemented on NAND Flash Memories Using Programming Disturbances. Nikolaos Athanasios Anagnostopoulos; Yufan Fan; Muhammad Umair Saleem; Nico Mexis; Florian Frank; Tolga Arul and Stefan Katzenbeisser. (April 2022). DOI: https://doi.org/10.36227/techrxiv.19529263.v1
    7. 53. Toward Optical Probing Resistant Circuits: A Comparison of Logic Styles and Circuit Design Techniques. Sajjad Parvin; Thilo Krachenfels; Shahin Tajik; Jean-Pierre Seifert; Frank Sill Torres and Rolf Drechsler. In To appear in Proceedings of the 27th Asia and South Pacific Design Automation Conference  (ASP-DAC’22), 2022.
    8. 52. pHGen: A pH-Based Key Generation Mechanism Using ISFETs. Elmira Moussavi; Dominik Sisejkovic; Fabian Brings; Daniyar Kizatov; Animesh Singh; Xuan Thang Vu; Sven Ingebrandt; Rainer Leupers; Vivek Pachauri and Farhad Merchant. In Proceedings of the 2022 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), 2022.
    9. 51. Stochastic behavior of an interface-based memristive device. Sahitya Yarragolla; Torben Hemke; Jan Trieschmann; Finn Zahari; Hermann Kohlstedt and Thomas Mussenbrock. Journal of Applied Physics 131, 13 (2022), pp. 134304. DOI: https://doi.org/10.1063/5.0084085
    10. 50. Write Me and I’ll Tell You Secrets – Write-After-Write Effects On Intel CPUs. Jan Philipp Thoma and Tim Güneysu. In 25th International Symposium on Research in Attacks, Intrusions and Defenses, Limassol, Cyprus, 2022, pp. 72–85. DOI: https://doi.org/10.1145/3545948.3545987
    11. 49. Study on sneak path effect in self-rectifying crossbar arrays based on emerging memristive devices. Ziang Chen; Guofu Zhang; Hao Cai; Christopher Bengel; Feng Liu; Xianyue Zhao; Shahar Kvatinsky; Heidemarie Schmidt; Rainer Waser; Stephan Menzel and Nan Du. Frontiers in Electronic Materials 2, (2022). DOI: https://doi.org/10.3389/femat.2022.988785
    12. 48. Review on data-centric brain-inspired computing paradigms exploiting emerging memory devices. Wei Wang; Shahar Kvatinsky; Heidemarie Schmidt and Nan Du. Frontiers in Electronic Materials 2, (2022). DOI: https://doi.org/10.3389/femat.2022.1020076
    13. 47. Microwalk-CI: Practical Side-Channel Analysis for JavaScript Applications. Jan Wichelmann; Florian Sieck; Anna Pätschke and Thomas Eisenbarth. In Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, Los Angeles, CA, USA, 2022, pp. 2915–2929. DOI: https://doi.org/10.1145/3548606.3560654
    14. 46. Robust Reconfigurable Field Effect Transistors Process Route Enabling Multi-VT Devices Fabrication for Hardware Security Applications. Giulio Galderisi; Thomas Mikolajick and Jens Trommer. In 2022 Device Research Conference (DRC), 2022, pp. 1–2. DOI: https://doi.org/10.1109/DRC55272.2022.9855805
    15. 45. Reconfigurable Field Effect Transistors Design Solutions for Delay-Invariant Logic Gates. Giulio Galderisi; Thomas Mikolajick and Jens Trommer. IEEE Embedded Systems Letters 14, 2 (2022), pp. 107–110. DOI: https://doi.org/10.1109/LES.2022.3144010
    16. 44. Reconfigurable field effect transistors: A technology enablers perspective. T. Mikolajick; G. Galderisi; S. Rai; M. Simon; R. Böckle; M. Sistani; C. Cakirlar; N. Bhattacharjee; T. Mauersberger; A. Heinzig; A. Kumar; W.M. Weber and J. Trommer. Solid-State Electronics 194, (2022), pp. 108381. DOI: https://doi.org/10.1016/j.sse.2022.108381
    17. 43. Testing Physical Unclonable Functions Implemented on Commercial Off-the-Shelf NAND Flash Memories Using Programming Disturbances. Nikolaos Athanasios Anagnostopoulos; Yufan Fan; Muhammad Umair Saleem; Nico Mexis; Emiliia Gelóczi; Felix Klement; Florian Frank; André Schaller; Tolga Arul and Stefan Katzenbeisser. In 2022 IEEE 12th International Conference on Consumer Electronics (ICCE-Berlin), 2022, pp. 1–9. DOI: https://doi.org/10.1109/ICCE-Berlin56473.2022.10021310
    18. 42. Review of security techniques for memristor computing systems. Minhui Zou; Nan Du and Shahar Kvatinsky. Frontiers in Electronic Materials 2, (2022). DOI: https://doi.org/10.3389/femat.2022.1010613
    19. 41. Second Harmonic Generation Exploiting Ultra-Stable Resistive Switching Devices for Secure Hardware Systems. Ziang Chen; Nan Du; Mahdi Kiani; Xianyue Zhao; Ilona Skorupa; Stefan E. Schulz; Danilo Bürger; Massimiliano Di Ventra; Ilia Polian and Heidemarie Schmidt. IEEE Transactions on Nanotechnology 21, (2022), pp. 71–80. DOI: https://doi.org/10.1109/TNANO.2021.3135713
    20. 40. Towards a Formally Verified Hardware Root-of-Trust for Data-Oblivious Computing. L. Deutschmann; J. Müller; M. R. Fadiheh; D. Stoffel and W. Kunz. In to appear in Procceedings of IEEE/ACM Design Automation Conference (DAC), 2022, 2022.
    21. 39. A Systematic Look at Ciphertext Side Channels on AMD SEV-SNP. Mengyuan Li; Luca Wilke; Jan Wichelmann; Thomas Eisenbarth; Radu Teodorescu and Yinqian Zhang. In 2022 IEEE Symposium on Security and Privacy (SP), 2022, pp. 337–351. DOI: https://doi.org/10.1109/SP46214.2022.9833768
    22. 38. A Dedicated Mixed-Signal Characterisation and Testing Framework for Novel Digital Security Circuits That Use Carbon-Nanotube-Based Physical Unclonable Functions. Florian Frank; Nikolaos Athanasios Anagnostopoulos; Simon Böttger; Sascha Hermann; Tolga Arul; Stavros G. Stavrinides and Stefan Katzenbeisser. In 2022 11th International Conference on Modern Circuits and Systems Technologies (MOCAST), 2022, pp. 1–4. DOI: https://doi.org/10.1109/MOCAST54814.2022.9837567
    23. 37. The IC Ultra-Thin Back Surface - A Field of Real Nanoscale Fault Isolation Opportunities Requiring a Skillful Sample Preparation. C. Boit; J. Jatzkowski; F. Altmann; M. DiBattista; S. Silverman; G. Zwicker; N. Herfurth; E. Amini and J.-P. Seifert. In 2022 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), 2022, pp. 1–6. DOI: https://doi.org/10.1109/IPFA55383.2022.9915783
    24. 36. An Exhaustive Approach to Detecting Transient Execution Side Channels in RTL Designs of Processors. Mohammad Rahmani Fadiheh; Alex Wezel; Johannes Muller; Jorg Bormann; Sayak Ray; Jason M. Fung; Subhasish Mitra; Dominik Stoffel and Wolfgang Kunz. IEEE Transactions on Computers (2022), pp. 1–1. DOI: https://doi.org/10.1109/TC.2022.3152666
    25. 35. Identification and Classification of Corrupted PUF Responses via Machine Learning. Reshmi Suragani; Emiliia Nazarenko; Nikolaos Athanasios Anagnostopoulos; Nico Mexis and Elif Bilge Kavun. In 2022 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), 2022, pp. 137–140. DOI: https://doi.org/10.1109/HOST54066.2022.9839919
    26. 34. Abusing Commodity DRAMs in IoT Devices to Remotely Spy on Temperature. Florian Frank; Wenjie Xiong; Nikolaos Athanasios Anagnostopoulos; André Schaller; Tolga Arul; Farinaz Koushanfar; Stefan Katzenbeisser; Ulrich Ruhrmair and Jakub Szefer. 2022.2022.
    27. 33. Using Memristor Arrays as Physical Unclonable Functions. Florian Frank; Tolga Arul; Nikolaos Athanasios Anagnostopoulos and Stefan Katzenbeisser. In Computer Security -- ESORICS 2022, Cham, 2022, pp. 250--271.
    28. 32. On the Sustainability of Lightweight Cryptography Based on PUFs Implemented on NAND Flash Memories Using Programming Disturbances. Nikolaos Athanasios Anagnostopoulos; Yufan Fan; Muhammad Umair Saleem; Nico Mexis; Florian Frank; Tolga Arul and Stefan Katzenbeisser. 2022.2022. DOI: https://doi.org/10.48550/ARXIV.2204.02498
    29. 31. Real-World Chaos-Based Cryptography Using Synchronised Chua Chaotic Circuits. Emiliia Nazarenko; Nikolaos Athanasios Anagnostopoulos; Stavros G. Stavrinides; Nico Mexis; Florian Frank; Tolga Arul and Stefan Katzenbeisser. 2022.2022.
    30. 30. Realization of Memristor-aided Logic Gates with Analog Memristive Devices. Hao Cai; Ziang Chen; Xianyue Zhao; Christopher Bengel; Feng Liu; Heidemarie Schmidt; Stephan Menzel and Nan Du. In 2022 11th International Conference on Modern Circuits and Systems Technologies (MOCAST), 2022, pp. 1–4. DOI: https://doi.org/10.1109/MOCAST54814.2022.9837637
    31. 29. Risky Translations: Securing TLBs against Timing Side Channels. Florian Stolz; Jan Philipp Thoma; Pascal Sasdrich and Tim Güneysu. 2022.2022.
    32. 28. A scalable & comprehensive resilience concept against optical & physical IC backside attacks. Norbert Herfurth; Elham Amini; Marco Lisker; Jean-Pierre Seifert and Christian Boit. In 2022 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), 2022, pp. 1–6. DOI: https://doi.org/10.1109/IPFA55383.2022.9915714
    33. 27. ADR-Lite: A Low-Complexity Adaptive Data Rate Scheme for the LoRa Network. Reza Serati; Benyamin Teymuri; Nikolaos Athanasios Anagnostopoulos and Mehdi Rasti. In 2022 18th International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), 2022, pp. 296–301. DOI: https://doi.org/10.1109/WiMob55322.2022.9941614
    34. 26. Redox Memristors with Volatile Threshold Switching Behavior for Neuromorphic Computing. Yu Hao Wang; Tian Cheng Gong; Ya Xin Ding; Yang Li; Wei Wang; Zi Ang Chen; Nan Du; Erika Covi; Matteo Farronato; Dniele Ielmini; Xu Meng Zhang and Qing Luo. 20, 4 (2022), pp. 356--374. DOI: https://doi.org/10.1016/j.jnlest.2022.100177
  3. 2021

    1. 25. A Formal Approach to  Confidentiality Verification in SoCs at the Register Transfer Level. Johannes Müller; Mohammad R. Fadiheh; Anna Duque Anton; Thomas Eisenbarth; Dominik Stoffel and Wolfgang Kunz. In To appear in Proceedings of the 58th IEEE/ACM Design Automation Conference (DAC’21), San Francisco CA, USA, 2021.
    2. 24. BasicBlocker: ISA Redesign to Make Spectre-Immune CPUs Faster. Jan Philipp Thoma; Jakob Feldtkeller; Markus Krausz; Tim Güneysu and Daniel J. Bernstein. In Proceedings of 24th International Symposium on Research in Attacks, Intrusions and  Defenses (RAID 2021), San Sebastian, Spain, 2021. DOI: https://doi.org/10.1145/3471621.3471857
    3. 23. Towards Reliable In-Memory Computing: From Emerging Devices to Post-von-Neumann Architectures. Hussam Amrouch; Anteneh Gebregiorgis; Nan Du; Said Hamdioui and Ilia Polian. In 29th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC’21), 2021.
    4. 22. Automatic Extraction of Secrets from the Transistor Jungle Using Laser-Assisted Side-Channel Attacks. Thilo Krachenfels; Tuba Kiyan; Shahin Tajik and Jean-Pierre Seifert. In 30th USENIX Security Symposium (USENIX Security 21), 2021.
    5. 21. A Lightweight Architecture for Hardware-Based Security in the Emerging Era of Systems of Systems. Nico Mexis; Nikolaos Athanasios Anagnostopoulos; Shuai Chen; Jan Bambach; Tolga Arul and Stefan Katzenbeisser. J. Emerg. Technol. Comput. Syst. 17, 3 (June 2021). DOI: https://doi.org/10.1145/3458824
    6. 20. Special Session: Physical Attacks through the Chip Backside: Threats, Challenges, and Opportunities. Elham Amini; Kai Bartels; Christian Boit; Marius Eggert; Norbert Herfurth; Tuba Kiyan; Thilo Krachenfels; Jean-Pierre Seifert and Shahin Tajik. In 2021 IEEE 39th VLSI Test Symposium (VTS), 2021, pp. 1--12. DOI: https://doi.org/10.1109/VTS50974.2021.9441006
    7. 19. Nano Security: From Nano-Electronics to Secure Systems. Ilia Polian; Frank Altmann; Tolga Arul; Christian Boit; Ralf Brederlow; Lucas Davi; Rolf Drechsler; Nan Du; Thomas Eisenbarth; Tim Güneysu; Sascha Hermann; Matthias Hiller; Rainer Leupers; Farhad Merchant; Thomas Mussenbrock; Stefan Katzenbeisser; Akash Kumar; Wolfgang Kunz; Thomas Mikolajick; Vivek Pachauri; Jean-Pierre Seifert; Frank Sill Torres and Jens Trommer. In Proceedings of the Conference on Design, Automation &  Test in Europe (DATE’21), Virtual Event, 2021.
    8. 18. HERA: Hotpatching of Embedded Real-time Applications. Christian Niesler; Sebastian Surminski and Lucas Davi. In Proceedings of the Network and Distributed System Security Symposium (NDSS) 2021, 2021. DOI: https://doi.org/10.14722/ndss.2021.24159
    9. 17. Vertical IP Protection of the Next-Generation Devices: Quo Vadis? Shubham Rai; Siddharth Garg; Christian Pilato; Vladimir Herdt; Elmira Moussavi; Dominik Sisejkovic; Ramesh Karri; Rolf Drechsler; Farhad Merchant and Akash Kumar. In 2021 Design, Automation  Test in Europe Conference Exhibition (DATE), 2021, pp. 1905–1914. DOI: https://doi.org/10.23919/DATE51398.2021.9474132
    10. 16. Synaptic Plasticity in Memristive Artificial Synapses and Their Robustness Against Noisy Inputs. Nan Du; Xianyue Zhao; Ziang Chen; Bhaskar Choubey; Massimiliano Di Ventra; Ilona Skorupa; Danilo Bürger and Heidemarie Schmidt. Frontiers in Neuroscience 15, (2021). DOI: https://doi.org/10.3389/fnins.2021.660894
    11. 15. 20 Years of reconfigurable field-effect transistors: From concepts to future applications. T. Mikolajick; G. Galderisi; M. Simon; S. Rai; A. Kumar; A. Heinzig; W.M. Weber and J. Trommer. Solid-State Electronics 186, (2021), pp. 108036. DOI: https://doi.org/10.1016/j.sse.2021.108036
    12. 14. Exploring Physical Synthesis for Circuits based on Emerging Reconfigurable Nanotechnologies. Andreas Krinke; Shubham Rai; Akash Kumar and Jens Lienig. In 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 2021, pp. 1–9. DOI: https://doi.org/10.1109/ICCAD51958.2021.9643439
    13. 13. ClepsydraCache -- Preventing Cache Attacks with Time-Based Evictions. Jan Philipp Thoma; Christian Niesler; Dominic Funke; Gregor Leander; Pierre Mayr; Nils Pohl; Lucas Davi and Tim Güneysu. 2021.2021. DOI: https://doi.org/10.48550/ARXIV.2104.11469
    14. 12. A Design for a Secure Network of Networks Using a Hardware and Software Co-Engineering Architecture. Nico Mexis; Nikolaos Athanasios Anagnostopoulos; Shuai Chen; Jan Bambach; Tolga Arul and Stefan Katzenbeisser. In Proceedings of the SIGCOMM ’21 Poster and Demo Sessions. Association for Computing Machinery, New York, NY, USA, 2021, pp. 65–67.
    15. 11. Low-Temperature Attacks Against Digital Electronics: A Challenge for the Security of Superconducting Modules in High-Speed Magnetic Levitation (MagLev) Trains. Nikolaos Athanasios Anagnostopoulos; Yufan Fan; Markus Heinrich; Nikolay Matyunin; Dominik Püllen; Philipp Muth; Christian Hatzfeld; Markus Rosenstihl; Tolga Arul and Stefan Katzenbeisser. In 2021 IEEE 14th Workshop on Low Temperature Electronics (WOLTE), 2021, pp. 1–4. DOI: https://doi.org/10.1109/WOLTE49037.2021.9555437
    16. 10. RealSWATT: Remote Software-Based Attestation for Embedded Devices under Realtime Constraints. Sebastian Surminski; Christian Niesler; Ferdinand Brasser; Lucas Davi and Ahmad-Reza Sadeghi. In Proceedings of the 2021 ACM SIGSAC Conference on Computer and Communications Security, Virtual Event, Republic of Korea, 2021, pp. 2890–2905. DOI: https://doi.org/10.1145/3460120.3484788
    17. 9. Util::Lookup: Exploiting Key Decoding in Cryptographic Libraries. Florian Sieck; Sebastian Berndt; Jan Wichelmann and Thomas Eisenbarth. In Proceedings of the 2021 ACM SIGSAC Conference on Computer and Communications Security, Virtual Event, Republic of Korea, 2021, pp. 2456–2473. DOI: https://doi.org/10.1145/3460120.3484783
    18. 8. Low-power emerging memristive designs towards secure hardware systems for applications in internet of things. Nan Du; Heidemarie Schmidt and Ilia Polian. Nano Materials Science (2021). DOI: https://doi.org/10.1016/j.nanoms.2021.01.001
    19. 7. Metastability with Emerging Reconfigurable Transistors: Exploiting Ambipolarity for Throughput. Abhiroop Bhattacharjee; Shubham Rai; Ansh Rupani; Michael Raitza and Akash Kumar. In 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC), 2021, pp. 1–6. DOI: https://doi.org/10.1109/VLSI-SoC53125.2021.9607015
    20. 6. Preserving Self-Duality During Logic Synthesis for Emerging Reconfigurable Nanotechnologies. Shubham Rai; Heinz Riener; Giovanni De Micheli and Akash Kumar. In 2021 Design, Automation  Test in Europe Conference Exhibition (DATE), 2021, pp. 354–359. DOI: https://doi.org/10.23919/DATE51398.2021.9474112
    21. 5. Trojan Awakener: Detecting Dormant Malicious Hardware  Using Laser Logic State Imaging. Thilo Krachenfels; Jean-Pierre Seifert and Shahin Tajik. In Proceedings of the 5th Workshop on Attacks and  Solutions in Hardware Security, New York, NY, USA, 2021, pp. 17--27. DOI: https://doi.org/10.1145/3474376.3487282
    22. 4. undeSErVed trust: Exploiting Permutation-Agnostic Remote Attestation. Luca Wilke; Jan Wichelmann; Florian Sieck and Thomas Eisenbarth. In 2021 IEEE Security and Privacy Workshops (SPW), 2021, pp. 456–466. DOI: https://doi.org/10.1109/SPW53761.2021.00064
  4. 2020

    1. 3. Security Promises and Vulnerabilities in Emerging Reconfigurable Nanotechnology-Based Circuits. Shubham Rai; Satwik Patnaik; Ansh Rupani; Johann Knechtel; Ozgur Sinanoglu and Akash Kumar. IEEE Transactions on Emerging Topics in Computing (2020), pp. 1–1. DOI: https://doi.org/10.1109/TETC.2020.3039375
    2. 2. A Formal Approach for Detecting Vulnerabilities to Transient Execution Attacks in Out-of-Order Processors. M. R. Fadiheh; J. Müller; R. Brinkmann; S. Mitra; D. Stoffel and W. Kunz. In 2020 57th ACM/IEEE Design Automation Conference (DAC), 2020, pp. 1–6. DOI: https://doi.org/10.1109/DAC18072.2020.9218572
    3. 1. A Study of the Spatial Auto-Correlation of Memory-Based Physical Unclonable Functions. Tolga Arul; Nikolaos Athanasios Anagnostopoulos; Sergej Reißig and Stefan Katzenbeisser. In 2020 European Conference on Circuit Theory and Design (ECCTD), 2020, pp. 1–4. DOI: https://doi.org/10.1109/ECCTD49232.2020.9218302
To the top of the page